Welcome![Sign In][Sign Up]
Location:
Search - hamming vhdl

Search list

[Other resourceVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 42884 | Author: kerty | Hits:

[SCMVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 43008 | Author: kerty | Hits:

[VHDL-FPGA-Verilog一些译码器源代码

Description: 内有LED译码器,汉明纠错译码器,地址译码器,最高优先译码器,双2-4译码器等VHDL的源代码-decoder, Hamming error correction decoder, address decoder, the highest priority decoder, dual 2-4 decoder such as VHDL source code
Platform: | Size: 4096 | Author: 蔡孟颖 | Hits:

[VHDL-FPGA-Veriloghamming_decoder

Description: 汉明编码和解码的VHDL程序,直接解压就可以了-Hamming encoding and decoding process of VHDL, can be directly extracted a
Platform: | Size: 1024 | Author: 李成军 | Hits:

[Embeded-SCM Develophammingencoding

Description: 1.汉明编码的硬件实现vhdl语言 2.测试用,简单明晰 3.内含有另一份c代码的汉明码纠错编码源代码-1. Hamming codes hardware vhdl two languages. Test, 3 simple clarity. Another containing a code c Hamming code error correction coding source code
Platform: | Size: 2048 | Author: 方周 | Hits:

[VHDL-FPGA-Veriloghanmin

Description: 4位汉明编译码源代码。VHDL格式,经过仿真和测试通过,请放心使用。-four Hamming encryption source code. VHDL format, through simulation and test pass, please rest assured that use.
Platform: | Size: 138240 | Author: 田军卓 | Hits:

[VHDL-FPGA-VerilogVHDLexample49

Description: VHDL的49个例子,例子丰富,有计数器、状态机、寄存器、汉明纠错码编码器、游戏程序-VHDL 49 examples, examples of rich, counters, state machines, register, Hamming ECC encoder, Games, etc.
Platform: | Size: 44032 | Author: 刘一 | Hits:

[VHDL-FPGA-VerilogHammingEncoder

Description: VHDL编写的汉明纠错码译码器,数字传输中汉明纠错码的译码所用-VHDL prepared Hamming error-correcting code decoder, digital transmission Hamming error-correcting codes used in the decoding
Platform: | Size: 1024 | Author: wei | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL程序集锦,很多有用程序,英文版其中有汉明码编译码,优先译码等等。-VHDL Collection procedures, many useful procedures, the English version of them hamming code encoding and decoding, the priority decoder and so on.
Platform: | Size: 168960 | Author: 萍果 | Hits:

[VHDL-FPGA-Veriloghanmingjiaozhi

Description: 通过VHDL实现汉明码,交织码的编码与解码,开发环境Quartus-Through VHDL realize hamming code, interleaving the encoding and decoding code, development environment, Quartus
Platform: | Size: 3072 | Author: mayue | Hits:

[VHDL-FPGA-Veriloglab2_tutorial

Description: 摘自university of waterloo的个别知道笔记,主要关于electrical and computer engineering方面,包括了8-bit hamming的编解码以及使用VHDL的硬件开发-From the university of waterloo the individual aware of notes, mainly on the electrical and computer engineering, including the 8-bit hamming codecs and the use of VHDL hardware development
Platform: | Size: 324608 | Author: hsutingting | Hits:

[Applicationsinfrared_transmitter

Description: 自定义编码的红外发射器,采用汉明纠错编码。接收方可实现一位误码的纠错。38KHz发射载波调制,配合红外发射二级管可实现5-10米的数据通信与遥控。-Custom-coded infrared transmitters using Hamming error correction coding. Receive only realize a bit error correction. 38KHz launch carrier modulation, with the infrared emission diode can realize 5-10 m data communication with the remote control.
Platform: | Size: 358400 | Author: M | Hits:

[Other7

Description: (7,4)汉明码的编码程序,实现4位信息位后加三位监督位,组成7位码组-(7,4) hamming code encoding process, realize information-bit 4 after three supervision, and composed of seven yards Group
Platform: | Size: 1024 | Author: Hargie | Hits:

[Windows Develophamming

Description: Hamming code generator for 4 bit
Platform: | Size: 3072 | Author: Digitalkurt | Hits:

[source in ebookHammingDecoder

Description: -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN --- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN
Platform: | Size: 4096 | Author: djs | Hits:

[Crack HackHAMMING_ECC

Description: HAMMING ECC,1 BIT ERROR CORRECTION, 2 BITS ERROR DETECTION -HAMMING ECC,1 BIT ERROR CORRECTION, 2 BITS ERROR DETECTION
Platform: | Size: 4096 | Author: JerryChiao | Hits:

[matlabencode

Description: (7 4)汉明编码源程序,简单实用,可供大家下载,如有问题,望大家多多包含!-(74) Hamming code source, simple and practical, available for everyone to download and, if problems, hope you lot included!
Platform: | Size: 1024 | Author: lijun | Hits:

[assembly languageHamming-8

Description: 8位Hamming编码和校验代码,经测试正确-Hamming
Platform: | Size: 4096 | Author: wangdongbo | Hits:

[VHDL-FPGA-Veriloghamming

Description: 汉明码编码与译码全过程,经过验证,简单实用-Hamming code encoding and decoding the entire process, proven, simple and practical
Platform: | Size: 1024 | Author: 赵茂华 | Hits:

[VHDL-FPGA-Verilog74-Hamming-code-encoder-and-decoder

Description: 基于VHDL实现(7,4)汉明码的编码器和译码器-VHDL-based implementation (7,4) Hamming code encoder and decoder
Platform: | Size: 3072 | Author: 付沅键 | Hits:
« 12 3 4 »

CodeBus www.codebus.net